intel logoIntel® Quartus® Prime Standard Edition
Version 22.1std Software- og enhedssupport Release Notes
Opdateret til Intel® Quartus® Prime Design Suite: 22.1std.1
Brugervejledning

Intel® Quartus® Prime Standard Edition version 22.1std Software- og enhedssupport Release Notes

Dette dokument indeholder de seneste oplysninger om Intel® Quartus® Prime Standard Edition version 22.1std og 22.1std.1.
For yderligere oplysninger om denne softwareudgivelse henvises til Intel Quartus Prime Standard Edition README file på følgende sted: /quartus/readme.txt
For oplysninger om operativsystemunderstøttelse henvises til følgende web side: Intel FPGA-operativsystemsupport.

Relateret information

  • Intel Quartus Prime Pro Edition-software og enhedssupport Release Notes
  • Intel Quartus Prime Standard Edition Design Software til Linux
  • Intel Quartus Prime Standard Edition Design Software til Windows
  • Intel Quartus Prime Lite Edition Design Software til Linux
  • Intel Quartus Prime Lite Edition Design Software til Windows
  • Intel FPGA-softwareinstallation og -licens

1.1. Nye funktioner og forbedringer
Intel Quartus Prime Standard Edition-softwareversion 22.1std og version 22.1std.1 inkluderer funktions- og sikkerhedsopdateringer. Hold din software opdateret og følg den tekniske anbefalinger der hjælper med at forbedre sikkerheden for din Intel Quartus Prime-installation.
Intel Quartus Prime Standard Edition-softwareversion 22.1std inkluderer følgende nye funktioner og forbedringer:

  • Tilføjet understøttelse af Nios® V/m-processoren.
  • Til Intel MAX® 10-enheder, tilføjet 1.8V LVDS-understøttelse.

Fejlrettelser
Intel Quartus Prime Standard Edition-softwareversion 22.1std og version 22.1std.1 inkluderer også fejlrettelser. Vedrview Softwareproblemer løst på side 13 og softwarepatches inkluderet i denne udgivelse på side 13 for at se, om denne version indeholder rettelser til eller på anden måde løser nogen af ​​dine kundeserviceanmodninger (Intel Premier Support).

1.2. Ændringer i softwareadfærd
Dette afsnit dokumenterer tilfælde, hvor adfærden og standardindstillingerne for Intel Quartus Prime Standard Edition-softwaren er blevet ændret i forhold til tidligere udgivelser af Intel Quartus Prime Standard Edition-softwaren.
Se standardindstillingerne for Intel Quartus Prime File (.qdf), /quartus/bin/assignment_defaults.qdf, for en liste over alle standardtildelingsindstillinger for den seneste version af Intel Quartus Prime-softwaren.

1.2.1. Forældede funktioner og funktioner
De funktioner og funktioner, der er anført i dette afsnit, er blevet forældet, men ikke fjernet fra Intel Quartus Prime Standard Edition version 22.1std.1 eller tidligere.
Migrer dine værktøjer og processer for at bruge de erstattende eller alternative funktioner og funktioner, før de forældede funktioner og funktioner fjernes.
Funktioner og funktioner forældet fra Intel Quartus Prime Standard Udgave Version 22.1std.1
Ingen Intel Quartus Prime-funktioner eller -funktioner er blevet forældet i Intel Quartus Prime Standard Edition version 22.1.1.
Funktioner og funktioner forældet fra Intel Quartus Prime Standard Udgave Version 22.1std
Ingen Intel Quartus Prime-funktioner eller -funktioner er blevet forældet i Intel Quartus Prime Standard Edition version 22.1.
Funktioner og funktioner forældet fra Intel Quartus Prime Standard Edition version 21.1.1
Ingen Intel Quartus Prime-funktioner eller -funktioner er blevet forældet i Intel Quartus Prime Standard Edition version 21.1.1.
Funktioner og funktioner forældet fra Intel Quartus Prime Standard Udgave Version 21.1
Ingen Intel Quartus Prime-funktioner eller -funktioner er blevet forældet i Intel Quartus Prime Standard Edition version 21.1.
Funktioner og funktioner forældet fra Intel Quartus Prime Standard Udgave Version 20.1
Ingen Intel Quartus Prime-funktioner eller -funktioner er blevet forældet i Intel Quartus Prime Standard Edition version 20.1.

1.2.2. Fjernede funktioner og funktioner
Funktionerne og funktionerne i dette afsnit er blevet fjernet fra Intel Quartus Prime Standard Edition version 22.1std.1 eller tidligere.
Funktioner og funktioner fjernet fra Intel Quartus Prime Standard Edition Version 22.1std.1
Ingen Intel Quartus Prime-funktioner eller -funktioner er blevet fjernet fra Intel Quartus Prime Standard Edition version 22.1.1.
Funktioner og funktioner fjernet fra Intel Quartus Prime Standard Edition Version 22.1std
Ingen Intel Quartus Prime-funktioner eller -funktioner er blevet fjernet fra Intel Quartus Prime Standard Edition version 22.1.
Funktioner og funktioner fjernet fra Intel Quartus Prime Standard Edition Version 21.1.1
Ingen Intel Quartus Prime-funktioner eller -funktioner er blevet fjernet fra Intel Quartus Prime Standard Edition version 21.1.1.
Funktioner og funktioner fjernet fra Intel Quartus Prime Standard Edition Version 21.1

  • Fjernet ModelSim*-Intel FPGA Edition og ModelSim-Intel FPGA Starter Edition
    Denne simuleringssoftware er blevet erstattet af henholdsvis Questa*-Intel FPGA Edition og Questa-Intel FPGA Starter Edition.
  • Fjernet understøttelse af 32-bit simuleringssoftware.
    Denne ændring fjerner understøttelse af følgende simuleringsværktøjer:
    — Aldec* Active-HDL* (32-bit)
    Brug en 64-bit version af Aldec Active-HDL eller brug Aldec Riviera-PRO* i stedet for.
    — Mentorgrafik* ModelSim PE
    Brug Siemens* EDA ModelSim SE eller Siemens EDA Questa Advanced Simulator i stedet.
  • Fjernet NicheStack TCP/IP Stack-understøttelse.
  • Fjernet understøttelse af Cadence* Incisive* Enterprise Simulator (IES).

Funktioner og funktioner fjernet fra Intel Quartus Prime Standard Edition Version 20.1
Understøttelse af følgende software er blevet fjernet fra Intel Quartus Prime Standard Edition version 20.1 og nyere:

  • DSP Builder til Intel FPGA'er
  • Intel FPGA SDK til OpenCL™ (*)
  • Intel FPGA RTE til OpenCL
  • Intel High-Level Synthesis (HLS) compiler

(*) OpenCL og OpenCL-logoet er varemærker tilhørende Apple Inc. brugt med tilladelse fra Khronos Group™

1.3. Operativsystemsupport
Oplysninger om operativsystemunderstøttelse til Intel Quartus Prime Design Suite er tilgængelig på siden Operating System Support på Intel FPGA webwebsted.
Understøttelse af operativsystemændringer i Intel Quartus Prime Standard Edition Version 22.1std.1
Der er ingen ændringer af operativsystemunderstøttelse i Intel Quartus Prime Standard Edition version 22.1std.1.
Understøttelse af operativsystemændringer i Intel Quartus Prime Standard Edition Version 22.1std
Understøttelse af følgende operativsystemer er forældet fra Intel Quartus Prime Standard Edition version 22.1:

  • CentOS* Linux 8.2
  • Windows Server* 2012
  • Windows Server 2016
  • Windows* 10 version 1607

Migrer din Windows 10-installation til Windows 10 version 1809 eller nyere.
Understøttelse af disse operativsystemer kan blive fjernet i en fremtidig udgivelse.
Intel Quartus Prime Standard Edition Version 22.1 fjernede understøttelse af følgende operativsystemer:

  • CentOS Linux 7.5
  • CentOS Linux 8.0(1)
  • CentOS Linux 8.1(1)
  • Red Hat* Enterprise Linux* 7
  • Red Hat Enterprise Linux 8.0(2)
  • Red Hat Enterprise Linux 8.1(2)

Understøttelse af operativsystemændringer i Intel Quartus Prime Standard Edition Version 21.1.1
Der er ingen ændringer af operativsystemunderstøttelse i Intel Quartus Prime Standard Edition version 21.1.1.
Understøttelse af operativsystemændringer i Intel Quartus Prime Standard Edition Version 21.1
Intel Quartus Prime Standard Edition Version 21.1 tilføjede understøttelse af følgende operativsystemer:

  1. CentOS Linux 8.2 forbliver understøttet af Intel Quartus Prime Standard Edition version 22.1
  2. Red Hat* Enterprise Linux 8.2 understøttes fortsat af Intel Quartus Prime Standard Edition version 22.1
  • CentOS 8.0
  • Red Hat Enterprise Linux 8
  • SUSE* Linux Enterprise Server 15
  • Ubuntu* Linux 20 LTS
  • Windows Server 2019

Understøttelse af følgende operativsystemer er forældet fra Intel Quartus Prime Standard Edition version 21.1. Understøttelse af disse operativsystemer kan blive fjernet i en fremtidig udgivelse:

  • CentOS 7.5
  • Red Hat Enterprise Linux 7

Intel Quartus Prime Standard Edition Version 21.1 fjernede understøttelse af følgende operativsystemer:

  • Red Hat Enterprise Linux 6
  • Ubuntu Linux 14 LTS

Relateret information
Operativsystemsupport

1.4. Diskplads og hukommelsesanbefalinger
En fuld installation af Intel Quartus Prime Standard Edition-softwaren kræver op til 40 GB ledig diskplads.
Konfigurer dit system til at give yderligere virtuel hukommelse svarende til den anbefalede fysiske RAM, der kræves for at behandle dit design. Denne ekstra virtuelle hukommelse fordobler effektivt den samlede effektive hukommelse, der er tilgængelig til at behandle dit design.
Note:
Peak virtuel hukommelse kan overstige disse anbefalinger. Disse anbefalinger er baseret på mængden af ​​fysisk hukommelse, der kræves for at opnå runtime inden for 10 % af den, der opnås på hardware med en uendelig mængde RAM.

Tabel 1.
Hukommelseskrav til behandling af Arria®-designs
Disse krav er de samme for både Windows- og Linux-installationer.

Familie Enhed Anbefalet fysisk RAM
Intel Arria® 10 10AT115, 10AX115 48 GB
10AT090, 10AX090 44 GB
10AS066, 10AX066 32 GB
10AS057, 10AX057 30 GB
10ASO48, 10AX048 28 GB
10AX032, 10AS032 24 GB
10AX027, 10AS027 22 GB
10AX022, 10AS022 20 GB
10AX016, 10AS016 18 GB
Arria V 5AGXB5, 5AGTD7, 5AGXB7, 5ASXB5, 5ASTD5 16 GB
5AGXB1, 5AGXB3, 5AGTD3, 5ASTD3, 5ASXB3 12 GB
5AGXA7, 5AGTC7 10 GB
5AGTC3, 5AGXA3, 5AGXA5 8 GB
5AGXA1 6 GB
Arria V GZ 5AGZE7 16 GB
5AGZE3, 5AGZE5 12 GB
5AGZE1 8 GB
Arria II GX EP2AGX260 6 GB
EP2AGX95, EP2AGX125, EP2AGX190 4 GB
EP2AGX65 2 GB
EP2AGX45 1.5 GB
Arria II GZ EP2AGZ350 8 GB
EP2AGZ300 6 GB
EP2AGZ225 4 GB

Tabel 2.
Hukommelseskrav til behandling af Cyclone®-design
Disse krav er de samme for både Windows- og Linux-installationer.

Familie Enhed Anbefalet fysisk RAM
Intel Cyclone® 10 LP 10CL120 1.5 GB
10CL080, 10CL055 1 GB
10CL006, 10CL010, 10CL016, 10CL025, 10CL040 512 MB
Cyklonen V 5CEA9, 5CGTD9, 5CGXC9 8 GB
5CEA2, 5CGXC3, 5CEA4, 5CGXC4, 5CEA5, 5CGTD5, 5CGXC5, 5CSEA5, 5CSTD5, 5CSXC5, 5CSEA6, 5CSXC6, 5CEA7, 5CGTD7, 5CGXC7, 5CSEA2, SCSEA4, 5CSXC2, 5CSXC4, 5CSTD6 6 GB
Cyclone IV GX EP4CGX110, EP4CGX150 2 GB
EP4CGX50, EP4CGX75 1.5 GB
EP4CGX15, EP4CGX22, EP4CGX30 512 MB
Cyklon IV E EP4CE115 1.5 GB
EP4CE55, EP4CE75 1 GB
EP4CE6, EP4CE10, EP4CE15, EP4CE22, EP4CE30, EP4CE40 512 MB

Tabel 3.
Hukommelseskrav til behandling af MAX-designs
Disse krav er de samme for både Windows- og Linux-installationer.

Familie Enhed Anbefalet fysisk RAM
Intel MAX 10 10M50 2 GB
10M16 2 GB
10M25 2 GB
10M40 2 GB
10M04, 10M08 1 GB
10M02 512 MB
MAX V Alle 512 MB
MAX II Alle 512 MB

Tabel 4.
Hukommelseskrav til behandling af Stratix®-designs
Disse krav er de samme for både Windows- og Linux-installationer.

Familie Enhed Anbefalet fysisk RAM
Stratix® V 5SEEB, 5SGXAB, 5SGXB9, 5SGXBB 28 GB
5SGXA9, 5SEE9 24 GB
5SGTC7, 5SGXA7, 5SGSD8 20 GB
5SGSD5, 5SGXA5, 5SGXB5, 5SGSD6, 5SGXB6 16 GB
5SGXA3, 5SGSD4, 5SGXA4, 5SGTC5 12 GB
5SGSD3 8 GB
Stratix IV EP4SGX530, EP4SE530, EP4SE820, EP4S40G5, EP4S100G5 12 GB
EP4SE360 EP4SGX360, EP4S100G3, EP4S100G4 8 GB
EP4SGX290 6 GB
EP4SE230 EP4SGX110, EP4SGX230, EP4S40G2, EP4S100G2 4 GB
EP4SGX70 2 GB

1.5. Enhedssupport og pin-out-status
Alle produktionsenheder har i øjeblikket fuld kompilering, simulering, timinganalyse og programmeringsunderstøttelse.
1.5.1. Ændringer i enhedssupport
1.6. Tidsmodel, effektmodel og enhedsstatus
Tabel 5.
Timingmodel, strømmodel og enhedsstatus for Intel Arria 10-enheder

Enhedsfamilie Enhed Timing Model Status Power Model Status Enhedsstatus
Intel Arria 10 10AX016, 10AS016, 10AX022, 10AS022, 10AX027, 10AS027, 10AX032, 10AS032 Finale – 16.1 (3)(4) Finale – 17.0 Finale – 17.0
10AX048, 10AS048 Finale – 16.0.2 (4) Finale – 17.0 Finale – 17.0
10AX057, 10AS057, 10AX066, 10AS066, 10AT090, 10AX090 Finale – 16.0.1 (4) Finale – 16.0.1 Finale – 16.0.1
10AX115, 10AT115 Finale – 16.0 (4) Finale – 16.0 Finale – 16.0

(3) Enheder med en hastighedsgrad -1 blev færdiggjort i Intel Quartus Prime-softwareversion 17.0
(4) Alle militære enheder blev færdiggjort i Intel Quartus Prime-softwareversion 18.0.1.

Tabel 6.
Timingmodel, strømmodel og enhedsstatus for Intel Cyclone 10-enheder

Enhedsfamilie Enhed Timing Model Status Power Model Status Enhedsstatus
Intel Cyclone 10 LP 10CL006, 10CL010, 10CL016, 10CL025, 10CL040, 10CL055, 10CL080, 10CL120 Finale – 17.0 Finale – 17.1 Finale – 17.1

Tabel 7.
Timingmodel, strømmodel og enhedsstatus for Intel MAX 10-enheder

Enhedsfamilie Enhed Timing Model Status Power Model Status Enhedsstatus
Intel MAX 10 10M02, 10M04, 10M08 Finale – 15.1 (5) Finale – 15.1 Finale – 15.1
10M16, 10M25, 10M40, 10M50 Finale – 15.1.2 Finale – 15.1 Finale – 15.1

Den nuværende version af Intel Quartus Prime-softwaren inkluderer også endelige timing- og strømmodeller til Arria II GX, Arria II GZ, Arria V, Arria V GZ, Arria V SoC, Cyclone IV E, Cyclone IV GX, Cyclone V, Cyclone V SoC, MAX II, MAX II Z, MAX V, Stratix IV og Stratix V enhedsfamilier. Timingmodeller for disse enhedsfamilier blev endelige i Intel Quartus Prime-softwareversion 11.1 eller tidligere.

1.7. IBIS modeller
Tabel 8. IBIS-modelstatus for Intel Quartus Prime Standard Edition-softwaren Udgivelsesversion 22.1std
Fra og med Intel Quartus Prime Standard Edition-softwareversion 16.0 har enhedsfamilier IBIS-modelstatusser, der er enten Advance, Preliminary eller Final.

Enhedsfamilie IBIS Model Status
Intel Arria 10 Finale – 16.1.2
Arria V Korreleret med PHY-enhedens drift – 14.0
Arria II GX Korreleret med PHY-enhedens drift – 11.1
Arria II GZ Korreleret med PHY-enhedens drift – 11.1
Intel Cyclone 10 LP Finale – 17.0
Cyklonen V Korreleret med PHY-enhedens drift – 14.0
Cyklon IV E Korreleret med PHY-enhedens drift – 11.1
Cyclone IV GX Korreleret med PHY-enhedens drift – 11.1
Intel MAX 10 Finale – 16.0
MAX V Korreleret med PHY-enhedens drift – 11.1
Stratix V Korreleret med PHY-enhedsdrift – 13.0 SP1
Stratix IV Korreleret med PHY-enhedens drift – 11.1

Opdaterede IBIS-modeller er tilgængelige online på IBIS-modeller til Intel FPGA-enheder web side. Denne side opdateres efterhånden som IBIS-modeller til enheder bliver tilgængelige eller opdateres.
(5) Timingmodelstatusser for MAX 10 A6-hastighedsdele forbliver som foreløbige.
1.8. EDA Interface Information
Tabel 9.
Synteseværktøjer, der understøtter Intel Quartus Prime Standard Edition-softwareversion 22.1std

Synteseværktøjer Version
Siemens EDA Precision* Siemens EDA Precision-versioner, der understøtter Intel Quartus Prime-softwaren, frigives typisk efter udgivelsen af ​​Intel Quartus Prime-softwaren. Kontakt Siemens EDA for versioner af Siemens EDA Precision, der understøtter Intel Quartus Prime Standard Edition Software Release Version 22.1std.
Synopsys* Synplify*, Synplify Pro* og Synplify Premier Synopsys Synplify, Synplify Pro og Synplify Premier-versioner, der understøtter Intel Quartus Prime-softwaren, udgives typisk efter udgivelsen af ​​Intel Quartus Prime-softwaren. Kontakt Synopsys for versioner af Synopsys Synplify, Synplify Pro og Synplify Premier, der understøtter Intel Quartus Prime Standard Edition Software Release Version 22.1std.

Tabel 10.
Simuleringsværktøjer, der understøtter Intel Quartus Prime Standard Edition Softwareversion 22.1std
Følgende simuleringsværktøjer giver RTL og funktionel gate-niveau simulering. Kun 64-bit simuleringsværktøjer understøttes.

Simuleringsværktøjer Version
Aldec Active-HDL 13.0 (kun Windows)
Aldec Riviera-PRO 2019.1
Cadence Xcelium* Parallel Logic Simulation 21.09.003 (kun Linux*)
Questa-Intel FPGA Edition 2021.2
Siemens EDA ModelSim SE 2020.4
Siemens EDA Questa Advanced Simulator 2020.4
Synopsys VCS* og VCS MX P-2019.06-SP2-5 (kun Linux)

Questa-Intel FPGA Edition kræver FlexLM-licensdæmon version 11.16.4.0 (eller nyere). Du kan få licensdæmonen fra FlexLM License Daemons til Intel FPGA-software web side.
Du kan få Intel FPGA Edition af simuleringsværktøjer fra Download Center for FPGA'er.
Operativsystemsupport til Questa-Intel FPGA Edition version 2021.2

  • Red Hat Enterprise Linux 7 (64-bit)
  • Red Hat Enterprise Linux 8 (64-bit)
  • SUSE Linux Enterprise Server 12 (64-bit)
  • Windows 10 (64-bit)

Relateret information

  • Intel Quartus Prime Standard Edition Design Software til Linux
  • Intel Quartus Prime Standard Edition Design Software til Windows
  • Intel Quartus Prime Lite Edition Design Software til Linux
  • Intel Quartus Prime Lite Edition Design Software til Windows

1.9. Antivirus bekræftelse
Intel Quartus Prime-softwaren er blevet bekræftet virusfri med følgende software:
Antivirus-verifikationssoftware til Intel Quartus Prime Standard Edition Version 22.1std.1
McAfee VirusScan Command Line til Linux64 Version: 7.0.0.477
AV Engine version: 6300.9389 til Linux64.
Dateret version: 10629 oprettet 22. februar 2023
Antivirus-verifikationssoftware til Intel Quartus Prime Standard Edition Version 22.1std
McAfee VirusScan Command Line til Linux64 Version: 7.0.0.477
AV Engine version: 6300.9389 til Linux64.
Dateret version: 10505 oprettet 19. oktober 2022

1.10. Softwareproblemer løst
Ingen kundeserviceanmodninger blev rettet eller på anden måde løst i Intel Quartus Prime Standard Edition version 22.1std.1.
Følgende kundeserviceanmodninger blev rettet eller på anden måde løst i Intel Quartus Prime Standard Edition version 22.1std:
Tabel 11.
Problemer løst i Intel Quartus Prime Standard Edition version 22.1std

Intel Premier Support-sagsnumre
00421084 00451015 00476432 00501636 00529632 00540927 00541897 00550660
00553391 00573916 00630517 00641570 00644185 00647421 00649470 00661097
00668452 00669646 00675753 00683291 00689611 00690524 00693884 00696003
00698210 00698732 05129080 05465225 11396299

1.11. Softwarepatches inkluderet i denne udgivelse
Intel Quartus Prime Standard Edition Version 22.1std.1 indeholder følgende patches til tidligere versioner af Intel Quartus Prime Standard Edition-software:
Tabel 12.
Softwarepatches inkluderet i Intel Quartus Prime Standard Edition Version 22.1

Software Version Lappe Kundeserviceanmodningsnummer
Intel Quartus Prime version 22.1 0.01
Intel Quartus Prime version 21.1 0.14 00741067

Intel Quartus Prime Standard Edition Version 22.1std indeholder følgende patches til tidligere versioner af Intel Quartus Prime Standard Edition-software:
Tabel 13. Softwarepatches inkluderet i Intel Quartus Prime Standard Edition version 22.1std

Software Version Lappe Kundeserviceanmodningsnummer
Intel Quartus Prime version 21.1 0.10
Intel Quartus Prime version 21.1 0.08 00693884
Intel Quartus Prime version 21.1 0.07 00501636
Intel Quartus Prime version 21.1 0.06 00689611
Intel Quartus Prime version 21.1 0.04 stdp
Intel Quartus Prime version 21.1 0.03
Intel Quartus Prime version 21.1 0.02
Intel Quartus Prime version 20.1.1 1.09 00702107
Intel Quartus Prime version 20.1 0.14 00702107
Intel Quartus Prime version 18.1.1 1.13
Intel Quartus Prime version 18.1.1 1.12
Intel Quartus Prime version 18.1.1 1.09
Intel Quartus Prime version 18.1 0.23 00698210
Intel Quartus Prime version 18.1 0.21 00669646
Intel Quartus Prime version 18.1 0.20 00689611

1.12. Seneste kendte Intel Quartus Prime-softwareproblemer
Oplysninger om kendte problemer, der påvirker Intel Quartus Prime Standard Edition version 22.1std, er tilgængelig i Intel FPGA Knowledge Base.
For de seneste oplysninger om problemer, der påvirker Intel Quartus Prime Standard Edition Version 22.1std, vedrview Intel FPGA Knowledge Base-artiklerne, der gælder for Intel Quartus Prime Standard Edition Version 22.1std.
Tabel 14.
Vigtige, kendte problemer, der påvirker Intel Quartus Prime Standard Edition Version 22.1std

Beskrivelse Løsning
På Microsoft* Windows-systemer, SDI II Intel FPGA IP-design example generation mislykkes med følgende fejlmeddelelse:
Fejl: Kunne ikke generere example design example_design til:: \sdi_ii_0_example_design
For detaljer og tilgængeligheden af ​​en rettelse henvises til Hvorfor har SDI II Intel FPGA IP-designet f.eksampgenereringen mislykkes, når du bruger Intel Quartus Prime-softwaren til Windows? i Intel FPGA Knowledge Base.
På Microsoft Windows-systemer opstår følgende fejl, når der genereres en Intel Arria 10 EMIF Example Design til simulering:
Fejl: emif_0: Der er opstået en fejl ved generering af simuleringen f.eksampdesign. Se make_sim_design_errors.log for detaljer.
Fejl: Kunne ikke generere exampdesign til:ampdesignbiblioteket> Generer eksample Design: afsluttet med fejl
Du kan roligt ignorere disse advarselsmeddelelser. Simulering file sæt til Siemens EDA Questa og Aldec Riviera-PRO simuleringssoftware er genereret og indeholder det relevante design files for at køre simuleringen med succes. For flere detaljer og tilgængeligheden af ​​en rettelse, se Hvorfor fungerer Intel Arria 10 EMIF Example Design Generation Fail ved brug af Intel Quartus Prime Standard Edition-softwareversion 22.1 til Windows? i Intel FPGA Knowledge Base.
Når du bruger Intel Arria 10 EMIF IP Skip Calibration-tilstand, simulering af Intel Arria 10 EMIF IP med Siemens EDA Questa-simuleringssoftware (Siemens EDA Questa
Advanced Simulator eller Questa-Intel FPGA Edition) kan hænge.
Brug abstrakt PHY til hurtig simuleringssimulering for at forhindre hængning.
For flere detaljer og tilgængeligheden af ​​en rettelse, se Hvorfor hænger simulering af Intel Arria 10 EMIF IP i Mentor-simulatorer, når du bruger Intel Quartus Prime Standard Edition Software version 22.1 i Intel FPGA Knowledge Base.

Du kan finde oplysninger om kendte problemer for tidligere versioner af Quartus Prime-softwaren på Intel FPGA Knowledge Base web side.
Oplysninger om kendte softwareproblemer, der påvirker tidligere versioner af Quartus II-softwaren, er tilgængelig på Intel Quartus Prime og Quartus II Software Support web side.
Oplysninger om problemer, der påvirker Intel FPGA IP-biblioteket, er tilgængelig i udgivelsesbemærkningerne for hver IP. Du kan finde IP-udgivelsesbemærkningerne på Intel FPGA Documentation Index web side.
Relateret information

  • Intel FPGA Knowledge Base
  • Intel Quartus Prime og Quartus II Softwaresupport
  • Intel FPGA'er og programmerbare enheder Release Notes

1.13. Intel Quartus Prime Standard Edition-software og -enhed Support Release Notes Arkiver
For de seneste og tidligere versioner af disse udgivelsesbemærkninger henvises til Intel Quartus Prime Standard Edition Software og Device Support Release Notes. Hvis en softwareversion ikke er angivet, gælder udgivelsesbemærkningerne for den tidligere softwareversion.
1.14. Intel Quartus Prime Standard Edition-softwareudgivelse Version 22.1std Dokumentrevisionshistorik

Dokumentversion Intel Quartus Prime-version Ændringer
2023.03.21 22.1 • Opdateret til version 22.1std.1
• Rettet versionsnummer for version 22.1std.
2022.11.07 22.1 • Opdaterede seneste kendte softwareproblemer.
2022.10.31 22.1 • Første udgivelse.

Intel Quartus Prime Standard Edition: Version 22.1std Software og enhedssupport Release Notes

intel 25G Ethernet Intel FPGA IP - Symbol 1 Online Version
intel 25G Ethernet Intel FPGA IP - Symbol 2 Send feedback
ID: 683593
RN-01080-22.1std
Version: 2023.03.21

Dokumenter/ressourcer

intel Quartus Prime Standard Edition [pdfBrugervejledning
Quartus Prime Standard Edition, Prime Standard Edition, Standard Edition

Referencer

Efterlad en kommentar

Din e-mailadresse vil ikke blive offentliggjort. Påkrævede felter er markeret *